联系方式:
更多
详细内容

FPGA工程师:如何在FPGA中实现状态机?

时间:2016-10-02 16:01:24      【原创】

  FPGA常常用于执行基于序列和控制的行动,比如实现一个简单的通信协议。对于设计人员来说,满足这些行动和序列要求的最佳方法则是使用状态机。状态机是在数量有限的状态之间进行转换的逻辑结构。一个状态机在某个特定的时间点只处于一种状态。但在一系列触发器的触发下,将在不同状态间进行转换。

  理论上讲,状态机可以分为Moore状态机和Mealy状态机两大类。它们之间的差异仅在于如何生成状态机的输出。Moore状态机的输出仅为当前状态的函数。典型的例子就是计数器。而Mealy状态机的输出是当前状态和输入的函数。典型的例子就是Richards控制器。

  定义状态机

  当需要定义一个状态机时,首先要绘制一张状态图。状态图可用来显示状态、状态间的转换和状态机的输出。图1显示了Moore状态机的状态图(左)和Mealy状态机的状态图(右)。

  图1 用于开/关LED的Moore状态机(左)和Mealy状态机(右)的状态图。

  图1 用于开/关LED的Moore状态机(左)和Mealy状态机(右)的状态图。

  如果您要在物理组件中实现这些状态图(工程师在FPGA问世之前就是这么做的),首先就得生成当前状态和后续状态表,然后生成实现状态机所需的逻辑。不过由于我们将使用FPGA来实现设计,因此我们可以直接从状态转换图开始工作。

  算法状态图

  虽然有许多状态机是使用图1所示的状态图方法进行设计的,但另外还有一种描述状态机行为的方法,这就是算法状态图法。ASM图(图2)在外观上更加接近软件工程流程图。它由三个基本部分构成:

快速导航


联系我们

平面变压器定制,贴片变压器设计、反激式变压器定制

公司名称:领航京创

热线:181-2638-2251

官网: http://www.szmzhg.com 

公司地址:深圳市龙华区龙华街道油松第十工业区4楼


微信扫码咨询
微信扫码咨询
  • 电话直呼

    • 88888888
    • 99999999
    • 6666666
    • 产品经理 :
    • 产品经理 :
  • 微信扫码咨询

seo seo